Belle II KLM Scint Firmware  1
timing_ctrl_pkg.vhd
1 --*********************************************************************************
2 -- Indiana University Cyclotron Facility (IUCF)
3 --
4 -- Project: Belle-II
5 --
6 -- Author: Brandon Kunkler
7 --
8 -- Date: 11/30/2011
9 --
10 --*********************************************************************************
11 -- Description:
12 -- Package for timing and control entity.
13 --
14 --*********************************************************************************
15 library ieee;
16  use ieee.std_logic_1164.all;
17  use ieee.math_real.all;
18  use ieee.numeric_std.all;
19 
20 package timing_ctrl_pkg is
21  --------------------------------------------------------------------------
22  -- Constant declarations.
23  --------------------------------------------------------------------------
24  constant TC_MAX_MUL : integer := 2;
25  constant TC_CCNT_WIDTH : integer := INTEGER(CEIL(LOG2(REAL(TC_MAX_MUL))));
26  constant TC_2X_BIT : integer := INTEGER(CEIL(LOG2(REAL(2))))-1;
27  constant TC_4X_BIT : integer := INTEGER(CEIL(LOG2(REAL(4))))-1;
28  constant TC_8X_BIT : integer := INTEGER(CEIL(LOG2(REAL(8))))-1;
29  constant TC_16X_BIT : integer := INTEGER(CEIL(LOG2(REAL(16))))-1;
30 
31 end timing_ctrl_pkg;
32 
33 package body timing_ctrl_pkg is
34 
35 end timing_ctrl_pkg;